-- Leo's gemini proxy

-- Connecting to freeshell.de:1965...

-- Connected

-- Sending request

-- Meta line: 20 text/gemini;lang=en-GB

ghdl


> Open-source simulator for the VHDL language.

More information.


Analyze a VHDL source file and produce an object file:

ghdl -a {filename.vhdl}

Elaborate a design (where `{design}` is the name of a configuration unit, entity unit or architecture unit):

ghdl -e {design}

Run an elaborated design:

ghdl -r {design}

Run an elaborated design and dump output to a waveform file:

ghdl -r {design} --wave={output.ghw}

Check the syntax of a VHDL source file:

ghdl -s {filename.vhdl}

Display the help page:

ghdl --help


> Copyright © 2014—present the tldr-pages team and contributors.

> This work is licensed under the Creative Commons Attribution 4.0 International License (CC-BY).

CC-BY



-- Response ended

-- Page fetched on Fri May 17 19:20:44 2024